From 3265e8f01cd4e878661973730349a5ed44329713 Mon Sep 17 00:00:00 2001 From: David Robillard Date: Sat, 2 Feb 2013 16:58:42 +0000 Subject: More generic Atom class. git-svn-id: http://svn.drobilla.net/lad/trunk/ingen@5024 a436a847-0d15-0410-975c-d299462d15a1 --- src/server/events/CreatePort.cpp | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) (limited to 'src/server/events/CreatePort.cpp') diff --git a/src/server/events/CreatePort.cpp b/src/server/events/CreatePort.cpp index 2e3e4d42..4687e44e 100644 --- a/src/server/events/CreatePort.cpp +++ b/src/server/events/CreatePort.cpp @@ -77,7 +77,7 @@ CreatePort::CreatePort(Engine& engine, const Range buffer_types = properties.equal_range(uris.atom_bufferType); for (Iterator i = buffer_types.first; i != buffer_types.second; ++i) { if (i->second.type() == _engine.world()->forge().URI) { - _buf_type = _engine.world()->uri_map().map_uri(i->second.get_uri()); + _buf_type = _engine.world()->uri_map().map_uri(i->second.ptr()); } } } @@ -123,14 +123,14 @@ CreatePort::pre_process() std::make_pair(uris.lv2_index, _engine.world()->forge().make(old_n_ports))); } else if (index_i->second.type() != uris.forge.Int || - index_i->second.get_int32() != old_n_ports) { + index_i->second.get() != old_n_ports) { return Event::pre_process_done(Status::BAD_INDEX, _path); } const PropIter poly_i = _properties.find(uris.ingen_polyphonic); const bool polyphonic = (poly_i != _properties.end() && poly_i->second.type() == uris.forge.Bool && - poly_i->second.get_bool()); + poly_i->second.get()); if (!(_graph_port = _graph->create_port( *_engine.buffer_factory(), Raul::Symbol(_path.symbol()), @@ -155,7 +155,7 @@ CreatePort::pre_process() _ports_array = new Raul::Array(old_n_ports + 1, NULL); _update = _graph_port->properties(); - assert(_graph_port->index() == (uint32_t)index_i->second.get_int32()); + assert(_graph_port->index() == (uint32_t)index_i->second.get()); assert(_graph->num_ports_non_rt() == (uint32_t)old_n_ports + 1); assert(_graph_port->index() == (uint32_t)old_n_ports); assert(_ports_array->size() == _graph->num_ports_non_rt()); -- cgit v1.2.1