From f936a6da1f4885db54365d81ee5959e84d359e85 Mon Sep 17 00:00:00 2001 From: David Robillard Date: Thu, 28 Apr 2011 06:02:12 +0000 Subject: More future-proof collection APIs. Make all iterator actions occur through a collection specific function. Verbose, and a low of API, but allows for the possibility of different collection implementation types (given a choice between verbosity and no type safety, I'll take verbosity). git-svn-id: http://svn.drobilla.net/lad/trunk/ingen@3211 a436a847-0d15-0410-975c-d299462d15a1 --- src/server/LV2Node.cpp | 10 +++++----- src/server/NodeFactory.cpp | 2 +- 2 files changed, 6 insertions(+), 6 deletions(-) (limited to 'src/server') diff --git a/src/server/LV2Node.cpp b/src/server/LV2Node.cpp index 26f1e918..48d00a6d 100644 --- a/src/server/LV2Node.cpp +++ b/src/server/LV2Node.cpp @@ -243,7 +243,7 @@ LV2Node::instantiate(BufferFactory& bufs) if (data_type == PortType::VALUE || data_type == PortType::MESSAGE) { // Get default value, and its length SLV2Values defaults = slv2_port_get_value(plug, id, default_pred); - SLV2_FOREACH(i, defaults) { + SLV2_FOREACH(values, i, defaults) { SLV2Value d = slv2_values_get(defaults, i); if (slv2_value_is_string(d)) { const char* str_val = slv2_value_as_string(d); @@ -255,7 +255,7 @@ LV2Node::instantiate(BufferFactory& bufs) // Get minimum size, if set in data SLV2Values sizes = slv2_port_get_value(plug, id, min_size_pred); - SLV2_FOREACH(i, sizes) { + SLV2_FOREACH(values, i, sizes) { SLV2Value d = slv2_values_get(sizes, i); if (slv2_value_is_int(d)) { size_t size_val = slv2_value_as_int(d); @@ -297,7 +297,7 @@ LV2Node::instantiate(BufferFactory& bufs) // Set lv2:portProperty properties SLV2Values properties = slv2_port_get_value(plug, id, port_property_pred); - SLV2_FOREACH(i, properties) { + SLV2_FOREACH(values, i, properties) { SLV2Value p = slv2_values_get(properties, i); if (slv2_value_is_uri(p)) { port->set_property(uris.lv2_portProperty, Raul::URI(slv2_value_as_uri(p))); @@ -306,7 +306,7 @@ LV2Node::instantiate(BufferFactory& bufs) // Set atom:supports properties SLV2Values types = slv2_port_get_value(plug, id, supports_pred); - SLV2_FOREACH(i, types) { + SLV2_FOREACH(values, i, types) { SLV2Value type = slv2_values_get(types, i); if (slv2_value_is_uri(type)) { port->add_property(uris.atom_supports, Raul::URI(slv2_value_as_uri(type))); @@ -314,7 +314,7 @@ LV2Node::instantiate(BufferFactory& bufs) } SLV2Values contexts = slv2_port_get_value(plug, id, context_pred); - SLV2_FOREACH(i, contexts) { + SLV2_FOREACH(values, i, contexts) { SLV2Value c = slv2_values_get(contexts, i); const char* context = slv2_value_as_string(c); if (!strcmp(LV2_CONTEXTS_URI "#MessageContext", context)) { diff --git a/src/server/NodeFactory.cpp b/src/server/NodeFactory.cpp index 9c6fc47c..8b336ad2 100644 --- a/src/server/NodeFactory.cpp +++ b/src/server/NodeFactory.cpp @@ -129,7 +129,7 @@ NodeFactory::load_lv2_plugins() { SLV2Plugins plugins = slv2_world_get_all_plugins(_world->slv2_world()); - SLV2_FOREACH(i, plugins) { + SLV2_FOREACH(plugins, i, plugins) { SLV2Plugin lv2_plug = slv2_plugins_get(plugins, i); const string uri(slv2_value_as_uri(slv2_plugin_get_uri(lv2_plug))); -- cgit v1.2.1