summaryrefslogtreecommitdiffstats
path: root/src/gui/PatchView.cpp
diff options
context:
space:
mode:
authorDavid Robillard <d@drobilla.net>2012-08-17 02:14:07 +0000
committerDavid Robillard <d@drobilla.net>2012-08-17 02:14:07 +0000
commit318b37d8b556add13b3f156f31c9e72eca339a16 (patch)
treeca7b881f8980a41eca77602b474f29964b5c89db /src/gui/PatchView.cpp
parenta42744e1068a8630d8034df73bb344ca21a53b32 (diff)
downloadingen-318b37d8b556add13b3f156f31c9e72eca339a16.tar.gz
ingen-318b37d8b556add13b3f156f31c9e72eca339a16.tar.bz2
ingen-318b37d8b556add13b3f156f31c9e72eca339a16.zip
Implement real logging system, LV2 log extension support, and purge evil/ugly/untranslatable C++ stream printing.
Remove coloured log stuff from Raul. git-svn-id: http://svn.drobilla.net/lad/trunk/ingen@4717 a436a847-0d15-0410-975c-d299462d15a1
Diffstat (limited to 'src/gui/PatchView.cpp')
-rw-r--r--src/gui/PatchView.cpp11
1 files changed, 4 insertions, 7 deletions
diff --git a/src/gui/PatchView.cpp b/src/gui/PatchView.cpp
index f1f4c96d..e81d16f7 100644
--- a/src/gui/PatchView.cpp
+++ b/src/gui/PatchView.cpp
@@ -16,15 +16,16 @@
#include <cassert>
#include <fstream>
-#include "raul/log.hpp"
+
#include "ingen/Interface.hpp"
#include "ingen/client/PatchModel.hpp"
+
#include "App.hpp"
-#include "PatchView.hpp"
-#include "PatchCanvas.hpp"
#include "LoadPluginWindow.hpp"
#include "NewSubpatchWindow.hpp"
+#include "PatchCanvas.hpp"
#include "PatchTreeWindow.hpp"
+#include "PatchView.hpp"
#include "WidgetFactory.hpp"
using namespace std;
@@ -192,14 +193,10 @@ PatchView::property_changed(const Raul::URI& predicate, const Raul::Atom& value)
if (predicate == _app->uris().ingen_enabled) {
if (value.type() == _app->uris().forge.Bool) {
_process_but->set_active(value.get_bool());
- } else {
- Raul::warn << "Bad type for ingen:enabled: " << value.type() << endl;
}
} else if (predicate == _app->uris().ingen_polyphony) {
if (value.type() == _app->uris().forge.Int) {
_poly_spin->set_value(value.get_int32());
- } else {
- Raul::warn << "Bad type for ingen:polyphony: " << value.type() << endl;
}
}
_enable_signal = true;