summaryrefslogtreecommitdiffstats
path: root/src/clients/patches/testing/subpatching/blahz0r.om
diff options
context:
space:
mode:
Diffstat (limited to 'src/clients/patches/testing/subpatching/blahz0r.om')
-rw-r--r--src/clients/patches/testing/subpatching/blahz0r.om181
1 files changed, 181 insertions, 0 deletions
diff --git a/src/clients/patches/testing/subpatching/blahz0r.om b/src/clients/patches/testing/subpatching/blahz0r.om
new file mode 100644
index 00000000..6a00efb8
--- /dev/null
+++ b/src/clients/patches/testing/subpatching/blahz0r.om
@@ -0,0 +1,181 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<patch>
+ <name>blahz0r</name>
+ <polyphony>1</polyphony>
+ <node>
+ <name>audio_input_0</name>
+ <polyphonic>true</polyphonic>
+ <type>Internal</type>
+ <plugin-label>audio_input</plugin-label>
+ <module-x>1295.000000</module-x>
+ <module-y>1036.000000</module-y>
+ </node>
+ <node>
+ <name>audio_output_0</name>
+ <polyphonic>true</polyphonic>
+ <type>Internal</type>
+ <plugin-label>audio_output</plugin-label>
+ <module-x>1684.000000</module-x>
+ <module-y>1051.000000</module-y>
+ </node>
+ <node>
+ <name>audio_output_1</name>
+ <polyphonic>true</polyphonic>
+ <type>Internal</type>
+ <plugin-label>audio_output</plugin-label>
+ <module-x>1738.000000</module-x>
+ <module-y>1183.000000</module-y>
+ </node>
+ <node>
+ <name>branch_ia_oaoa_0</name>
+ <polyphonic>true</polyphonic>
+ <type>LADSPA</type>
+ <plugin-label>branch_ia_oaoa</plugin-label>
+ <library-name>branch_1673.so</library-name>
+ <module-x>1376.000000</module-x>
+ <module-y>1084.000000</module-y>
+ </node>
+ <node>
+ <name>branch_ia_oaoa_1</name>
+ <polyphonic>true</polyphonic>
+ <type>LADSPA</type>
+ <plugin-label>branch_ia_oaoa</plugin-label>
+ <library-name>branch_1673.so</library-name>
+ <module-x>1620.000000</module-x>
+ <module-y>1145.000000</module-y>
+ </node>
+ <node>
+ <name>branch_ic_ococ_0</name>
+ <polyphonic>true</polyphonic>
+ <type>LADSPA</type>
+ <plugin-label>branch_ic_ococ</plugin-label>
+ <library-name>branch_1673.so</library-name>
+ <module-x>1558.000000</module-x>
+ <module-y>1221.000000</module-y>
+ </node>
+ <node>
+ <name>branch_ic_ococ_1</name>
+ <polyphonic>true</polyphonic>
+ <type>LADSPA</type>
+ <plugin-label>branch_ic_ococ</plugin-label>
+ <library-name>branch_1673.so</library-name>
+ <module-x>1393.000000</module-x>
+ <module-y>1208.000000</module-y>
+ </node>
+ <subpatch>
+ <name>qwe</name>
+ <filename>/home/dave/code/om/om-synth/src/clients/gtk/patches/subpatching/qwe.om</filename>
+ <polyphony>1</polyphony>
+ <module-x>1528.000000</module-x>
+ <module-y>1035.000000</module-y>
+ </subpatch>
+ <connection>
+ <source-node>audio_input_0</source-node>
+ <source-port>in</source-port>
+ <destination-node>qwe</destination-node>
+ <destination-port>audio_input_0</destination-port>
+ </connection>
+ <connection>
+ <source-node>qwe</source-node>
+ <source-port>audio_output_0</source-port>
+ <destination-node>audio_output_0</destination-node>
+ <destination-port>out</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ia_oaoa_0</source-node>
+ <source-port>First Output</source-port>
+ <destination-node>qwe</destination-node>
+ <destination-port>audio_input_0</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ia_oaoa_1</source-node>
+ <source-port>First Output</source-port>
+ <destination-node>audio_output_0</destination-node>
+ <destination-port>out</destination-port>
+ </connection>
+ <connection>
+ <source-node>qwe</source-node>
+ <source-port>audio_output_0</source-port>
+ <destination-node>branch_ia_oaoa_1</destination-node>
+ <destination-port>Input</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ic_ococ_1</source-node>
+ <source-port>First Output</source-port>
+ <destination-node>qwe</destination-node>
+ <destination-port>control_input_0</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ic_ococ_1</source-node>
+ <source-port>First Output</source-port>
+ <destination-node>branch_ic_ococ_0</destination-node>
+ <destination-port>Input</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ia_oaoa_1</source-node>
+ <source-port>Second Output</source-port>
+ <destination-node>audio_output_1</destination-node>
+ <destination-port>out</destination-port>
+ </connection>
+ <connection>
+ <source-node>audio_input_0</source-node>
+ <source-port>in</source-port>
+ <destination-node>branch_ia_oaoa_0</destination-node>
+ <destination-port>Input</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ia_oaoa_1</source-node>
+ <source-port>First Output</source-port>
+ <destination-node>audio_output_1</destination-node>
+ <destination-port>out</destination-port>
+ </connection>
+ <connection>
+ <source-node>branch_ia_oaoa_0</source-node>
+ <source-port>Second Output</source-port>
+ <destination-node>qwe</destination-node>
+ <destination-port>audio_input_0</destination-port>
+ </connection>
+ <preset>
+ <name>default</name>
+ <control>
+ <node-name>branch_ic_ococ_0</node-name>
+ <port-name>Input</port-name>
+ <value>0.000000</value>
+ </control>
+ <control>
+ <node-name>branch_ic_ococ_0</node-name>
+ <port-name>First Output</port-name>
+ <value>0.000000</value>
+ </control>
+ <control>
+ <node-name>branch_ic_ococ_0</node-name>
+ <port-name>Second Output</port-name>
+ <value>0.000000</value>
+ </control>
+ <control>
+ <node-name>branch_ic_ococ_1</node-name>
+ <port-name>Input</port-name>
+ <value>0.000000</value>
+ </control>
+ <control>
+ <node-name>branch_ic_ococ_1</node-name>
+ <port-name>First Output</port-name>
+ <value>0.000000</value>
+ </control>
+ <control>
+ <node-name>branch_ic_ococ_1</node-name>
+ <port-name>Second Output</port-name>
+ <value>0.000000</value>
+ </control>
+ <control>
+ <node-name>qwe</node-name>
+ <port-name>control_input_0</port-name>
+ <value>1.000000</value>
+ </control>
+ <control>
+ <node-name>qwe</node-name>
+ <port-name>control_output_0</port-name>
+ <value>1.000000</value>
+ </control>
+ </preset>
+</patch>