From aa473d19780f2670bb828835ee7ce970571016cf Mon Sep 17 00:00:00 2001 From: David Robillard Date: Wed, 13 May 2009 16:04:14 +0000 Subject: Strip trailing whitespace. git-svn-id: http://svn.drobilla.net/lad/trunk/ingen@1999 a436a847-0d15-0410-975c-d299462d15a1 --- src/engine/LV2Plugin.cpp | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) (limited to 'src/engine/LV2Plugin.cpp') diff --git a/src/engine/LV2Plugin.cpp b/src/engine/LV2Plugin.cpp index fa5eb415..7cc7b19f 100644 --- a/src/engine/LV2Plugin.cpp +++ b/src/engine/LV2Plugin.cpp @@ -1,15 +1,15 @@ /* This file is part of Ingen. * Copyright (C) 2007 Dave Robillard - * + * * Ingen is free software; you can redistribute it and/or modify it under the * terms of the GNU General Public License as published by the Free Software * Foundation; either version 2 of the License, or (at your option) any later * version. - * + * * Ingen is distributed in the hope that it will be useful, but WITHOUT ANY * WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS * FOR A PARTICULAR PURPOSE. See the GNU General Public License for details. - * + * * You should have received a copy of the GNU General Public License along * with this program; if not, write to the Free Software Foundation, Inc., * 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA @@ -29,7 +29,7 @@ using namespace Raul; namespace Ingen { - + const string LV2Plugin::symbol() const { @@ -46,7 +46,7 @@ LV2Plugin::symbol() const else working = working.substr(0, last_slash); } - + return "lv2_symbol"; } @@ -59,9 +59,9 @@ LV2Plugin::instantiate(const string& name, { SampleCount srate = engine.audio_driver()->sample_rate(); SampleCount buffer_size = engine.audio_driver()->buffer_size(); - + load(); // FIXME: unload at some point - + Glib::Mutex::Lock lock(engine.world()->rdf_world->mutex()); LV2Node* n = new LV2Node(this, name, polyphonic, parent, srate, buffer_size); @@ -69,10 +69,10 @@ LV2Plugin::instantiate(const string& name, delete n; n = NULL; } - + return n; } - + void LV2Plugin::slv2_plugin(SLV2Plugin p) -- cgit v1.2.1