From c1160ffc8a5dfb38891b0faa6373c9eecdd8e4c9 Mon Sep 17 00:00:00 2001 From: David Robillard Date: Sat, 22 Sep 2007 23:51:00 +0000 Subject: Type oblivious set_port_value interface. git-svn-id: http://svn.drobilla.net/lad/ingen@765 a436a847-0d15-0410-975c-d299462d15a1 --- src/libs/engine/QueuedEngineInterface.cpp | 27 ++++++++++++++++++++------- 1 file changed, 20 insertions(+), 7 deletions(-) (limited to 'src/libs/engine/QueuedEngineInterface.cpp') diff --git a/src/libs/engine/QueuedEngineInterface.cpp b/src/libs/engine/QueuedEngineInterface.cpp index badbf78d..41780e92 100644 --- a/src/libs/engine/QueuedEngineInterface.cpp +++ b/src/libs/engine/QueuedEngineInterface.cpp @@ -233,26 +233,39 @@ QueuedEngineInterface::disconnect_all(const string& node_path) void QueuedEngineInterface::set_port_value(const string& port_path, - float value) + uint32_t data_size, + const void* data) { - push_stamped(new SetPortValueEvent(_engine, _responder, now(), port_path, value)); + push_queued(new SetPortValueQueuedEvent(_engine, _responder, now(), port_path, data_size, data)); } void QueuedEngineInterface::set_port_value(const string& port_path, uint32_t voice, - float value) + uint32_t data_size, + const void* data) { - push_stamped(new SetPortValueEvent(_engine, _responder, now(), voice, port_path, value)); + push_queued(new SetPortValueQueuedEvent(_engine, _responder, now(), voice, port_path, data_size, data)); } void -QueuedEngineInterface::set_port_value_queued(const string& port_path, - float value) +QueuedEngineInterface::set_port_value_immediate(const string& port_path, + uint32_t data_size, + const void* data) { - push_queued(new SetPortValueQueuedEvent(_engine, _responder, now(), port_path, value)); + push_stamped(new SetPortValueEvent(_engine, _responder, now(), port_path, data_size, data)); +} + + +void +QueuedEngineInterface::set_port_value_immediate(const string& port_path, + uint32_t voice, + uint32_t data_size, + const void* data) +{ + push_stamped(new SetPortValueEvent(_engine, _responder, now(), voice, port_path, data_size, data)); } -- cgit v1.2.1