aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--src/adsr.c14
-rw-r--r--src/adsr_gt.c14
-rw-r--r--src/amp.c6
-rw-r--r--src/branch.c6
-rw-r--r--src/dahdsr.c18
-rw-r--r--src/difference.c6
-rw-r--r--src/fmod.c6
-rw-r--r--src/interpolator.c4
-rw-r--r--src/lp4pole.c8
-rw-r--r--src/product.c6
-rw-r--r--src/pulse.c6
-rw-r--r--src/quantiser.c18
-rw-r--r--src/random.c6
-rw-r--r--src/ratio.c6
-rw-r--r--src/sawtooth.c4
-rw-r--r--src/sequencer.c14
-rw-r--r--src/square.c4
-rw-r--r--src/sum.c6
-rw-r--r--src/sync_pulse.c8
-rw-r--r--src/sync_square.c6
-rw-r--r--src/tracker.c14
-rw-r--r--src/triangle.c6
-rw-r--r--src/wavedata.c6
-rw-r--r--src/wavegen.c2
24 files changed, 97 insertions, 97 deletions
diff --git a/src/adsr.c b/src/adsr.c
index c50951d..8c2c041 100644
--- a/src/adsr.c
+++ b/src/adsr.c
@@ -68,25 +68,25 @@ connect_port(LV2_Handle instance,
switch (port) {
case ADSR_SIGNAL:
- plugin->signal = data;
+ plugin->signal = (float*)data;
break;
case ADSR_TRIGGER:
- plugin->trigger = data;
+ plugin->trigger = (float*)data;
break;
case ADSR_ATTACK:
- plugin->attack = data;
+ plugin->attack = (float*)data;
break;
case ADSR_DECAY:
- plugin->decay = data;
+ plugin->decay = (float*)data;
break;
case ADSR_SUSTAIN:
- plugin->sustain = data;
+ plugin->sustain = (float*)data;
break;
case ADSR_RELEASE:
- plugin->release = data;
+ plugin->release = (float*)data;
break;
case ADSR_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/adsr_gt.c b/src/adsr_gt.c
index de0b0e7..a660e3e 100644
--- a/src/adsr_gt.c
+++ b/src/adsr_gt.c
@@ -69,25 +69,25 @@ connect_port(LV2_Handle instance,
switch (port) {
case ADSR_GATE:
- plugin->gate = data;
+ plugin->gate = (float*)data;
break;
case ADSR_TRIGGER:
- plugin->trigger = data;
+ plugin->trigger = (float*)data;
break;
case ADSR_ATTACK:
- plugin->attack = data;
+ plugin->attack = (float*)data;
break;
case ADSR_DECAY:
- plugin->decay = data;
+ plugin->decay = (float*)data;
break;
case ADSR_SUSTAIN:
- plugin->sustain = data;
+ plugin->sustain = (float*)data;
break;
case ADSR_RELEASE:
- plugin->release = data;
+ plugin->release = (float*)data;
break;
case ADSR_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/amp.c b/src/amp.c
index dac6bb0..5bcd339 100644
--- a/src/amp.c
+++ b/src/amp.c
@@ -50,13 +50,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case AMP_GAIN:
- plugin->gain = data;
+ plugin->gain = (float*)data;
break;
case AMP_INPUT:
- plugin->input = data;
+ plugin->input = (float*)data;
break;
case AMP_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/branch.c b/src/branch.c
index f4c92a0..c74e316 100644
--- a/src/branch.c
+++ b/src/branch.c
@@ -46,13 +46,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case BRANCH_INPUT:
- plugin->input = data;
+ plugin->input = (float*)data;
break;
case BRANCH_OUTPUT1:
- plugin->output1 = data;
+ plugin->output1 = (float*)data;
break;
case BRANCH_OUTPUT2:
- plugin->output2 = data;
+ plugin->output2 = (float*)data;
break;
}
}
diff --git a/src/dahdsr.c b/src/dahdsr.c
index 97cd373..99f29de 100644
--- a/src/dahdsr.c
+++ b/src/dahdsr.c
@@ -85,31 +85,31 @@ connect_port(LV2_Handle instance,
switch (port) {
case DAHDSR_GATE:
- plugin->gate = data;
+ plugin->gate = (float*)data;
break;
case DAHDSR_TRIGGER:
- plugin->trigger = data;
+ plugin->trigger = (float*)data;
break;
case DAHDSR_DELAY:
- plugin->delay = data;
+ plugin->delay = (float*)data;
break;
case DAHDSR_ATTACK:
- plugin->attack = data;
+ plugin->attack = (float*)data;
break;
case DAHDSR_HOLD:
- plugin->hold = data;
+ plugin->hold = (float*)data;
break;
case DAHDSR_DECAY:
- plugin->decay = data;
+ plugin->decay = (float*)data;
break;
case DAHDSR_SUSTAIN:
- plugin->sustain = data;
+ plugin->sustain = (float*)data;
break;
case DAHDSR_RELEASE:
- plugin->release = data;
+ plugin->release = (float*)data;
break;
case DAHDSR_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/difference.c b/src/difference.c
index 92e9a26..61a59e9 100644
--- a/src/difference.c
+++ b/src/difference.c
@@ -51,13 +51,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case DIFFERENCE_MINUEND:
- plugin->minuend = data;
+ plugin->minuend = (float*)data;
break;
case DIFFERENCE_SUBTRAHEND:
- plugin->subtrahend = data;
+ plugin->subtrahend = (float*)data;
break;
case DIFFERENCE_DIFFERENCE:
- plugin->difference = data;
+ plugin->difference = (float*)data;
break;
}
}
diff --git a/src/fmod.c b/src/fmod.c
index 80f7681..de972ce 100644
--- a/src/fmod.c
+++ b/src/fmod.c
@@ -52,13 +52,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case FMOD_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case FMOD_MODULATOR:
- plugin->modulator = data;
+ plugin->modulator = (float*)data;
break;
case FMOD_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/interpolator.c b/src/interpolator.c
index 24fa927..84ddd5d 100644
--- a/src/interpolator.c
+++ b/src/interpolator.c
@@ -64,10 +64,10 @@ connect_port(LV2_Handle instance,
switch (port) {
case INTERPOLATOR_INPUT:
- plugin->input = data;
+ plugin->input = (float*)data;
break;
case INTERPOLATOR_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/lp4pole.c b/src/lp4pole.c
index 64d4bba..07d8b48 100644
--- a/src/lp4pole.c
+++ b/src/lp4pole.c
@@ -59,16 +59,16 @@ connect_port(LV2_Handle instance,
switch (port) {
case LP4POLE_CUTOFF:
- plugin->cutoff = data;
+ plugin->cutoff = (float*)data;
break;
case LP4POLE_RESONANCE:
- plugin->resonance = data;
+ plugin->resonance = (float*)data;
break;
case LP4POLE_INPUT:
- plugin->input = data;
+ plugin->input = (float*)data;
break;
case LP4POLE_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/product.c b/src/product.c
index 3f83af9..194a071 100644
--- a/src/product.c
+++ b/src/product.c
@@ -51,13 +51,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case PRODUCT_MULTIPLICAND:
- plugin->input1 = data;
+ plugin->input1 = (float*)data;
break;
case PRODUCT_MULTIPLIER:
- plugin->input2 = data;
+ plugin->input2 = (float*)data;
break;
case PRODUCT_PRODUCT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/pulse.c b/src/pulse.c
index c4d857b..bffe4ea 100644
--- a/src/pulse.c
+++ b/src/pulse.c
@@ -47,13 +47,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case PULSE_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case PULSE_PULSEWIDTH:
- plugin->pulsewidth = data;
+ plugin->pulsewidth = (float*)data;
break;
case PULSE_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/quantiser.c b/src/quantiser.c
index 8607359..ccf9fb3 100644
--- a/src/quantiser.c
+++ b/src/quantiser.c
@@ -170,32 +170,32 @@ connect_port(LV2_Handle instance,
switch (port) {
case QUANTISER_RANGE_MIN:
- plugin->min = data;
+ plugin->min = (float*)data;
break;
case QUANTISER_RANGE_MAX:
- plugin->max = data;
+ plugin->max = (float*)data;
break;
case QUANTISER_MATCH_RANGE:
- plugin->match_range = data;
+ plugin->match_range = (float*)data;
break;
case QUANTISER_MODE:
- plugin->mode = data;
+ plugin->mode = (float*)data;
break;
case QUANTISER_COUNT:
- plugin->count = data;
+ plugin->count = (float*)data;
break;
case QUANTISER_INPUT:
- plugin->input = data;
+ plugin->input = (float*)data;
break;
case QUANTISER_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
case QUANTISER_OUTPUT_CHANGED:
- plugin->output_changed = data;
+ plugin->output_changed = (float*)data;
break;
default:
if (port >= QUANTISER_VALUE_START && port < QUANTISER_OUTPUT) {
- plugin->values[port - QUANTISER_VALUE_START] = data;
+ plugin->values[port - QUANTISER_VALUE_START] = (float*)data;
}
break;
}
diff --git a/src/random.c b/src/random.c
index 2a4a7b7..8737bff 100644
--- a/src/random.c
+++ b/src/random.c
@@ -60,13 +60,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case RANDOM_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case RANDOM_SMOOTH:
- plugin->smooth = data;
+ plugin->smooth = (float*)data;
break;
case RANDOM_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/ratio.c b/src/ratio.c
index 0bb3f1f..567cca6 100644
--- a/src/ratio.c
+++ b/src/ratio.c
@@ -53,13 +53,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case RATIO_NUMERATOR:
- plugin->numerator = data;
+ plugin->numerator = (float*)data;
break;
case RATIO_DENOMINATOR:
- plugin->denominator = data;
+ plugin->denominator = (float*)data;
break;
case RATIO_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/sawtooth.c b/src/sawtooth.c
index 35db21f..7106830 100644
--- a/src/sawtooth.c
+++ b/src/sawtooth.c
@@ -44,10 +44,10 @@ connect_port(LV2_Handle instance,
switch (port) {
case SAWTOOTH_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case SAWTOOTH_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/sequencer.c b/src/sequencer.c
index e5c97c7..ec218bb 100644
--- a/src/sequencer.c
+++ b/src/sequencer.c
@@ -62,26 +62,26 @@ connect_port(LV2_Handle instance,
switch (port) {
case SEQUENCER_GATE:
- plugin->gate = data;
+ plugin->gate = (float*)data;
break;
case SEQUENCER_TRIGGER:
- plugin->trigger = data;
+ plugin->trigger = (float*)data;
break;
case SEQUENCER_LOOP_POINT:
- plugin->loop_steps = data;
+ plugin->loop_steps = (float*)data;
break;
case SEQUENCER_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
case SEQUENCER_RESET:
- plugin->reset = data;
+ plugin->reset = (float*)data;
break;
case SEQUENCER_VALUE_GATE_CLOSED:
- plugin->value_gate_closed = data;
+ plugin->value_gate_closed = (float*)data;
break;
default:
if (port >= SEQUENCER_VALUE_START && port < SEQUENCER_OUTPUT) {
- plugin->values[port - SEQUENCER_VALUE_START] = data;
+ plugin->values[port - SEQUENCER_VALUE_START] = (float*)data;
}
break;
}
diff --git a/src/square.c b/src/square.c
index 59dd22f..e7cb941 100644
--- a/src/square.c
+++ b/src/square.c
@@ -44,10 +44,10 @@ connect_port(LV2_Handle instance,
switch (port) {
case SQUARE_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case SQUARE_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/sum.c b/src/sum.c
index 4eb9182..5c9c1c6 100644
--- a/src/sum.c
+++ b/src/sum.c
@@ -51,13 +51,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case SUM_INPUT1:
- plugin->input1 = data;
+ plugin->input1 = (float*)data;
break;
case SUM_INPUT2:
- plugin->input2 = data;
+ plugin->input2 = (float*)data;
break;
case SUM_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/sync_pulse.c b/src/sync_pulse.c
index 732faa9..719115f 100644
--- a/src/sync_pulse.c
+++ b/src/sync_pulse.c
@@ -56,16 +56,16 @@ connect_port(LV2_Handle instance,
switch (port) {
case SYNCPULSE_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case SYNCPULSE_PULSEWIDTH:
- plugin->pulsewidth = data;
+ plugin->pulsewidth = (float*)data;
break;
case SYNCPULSE_GATE:
- plugin->gate = data;
+ plugin->gate = (float*)data;
break;
case SYNCPULSE_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/sync_square.c b/src/sync_square.c
index 19fbcc1..f3c5ce8 100644
--- a/src/sync_square.c
+++ b/src/sync_square.c
@@ -53,13 +53,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case SYNCSQUARE_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case SYNCSQUARE_GATE:
- plugin->gate = data;
+ plugin->gate = (float*)data;
break;
case SYNCSQUARE_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/tracker.c b/src/tracker.c
index 0df74df..84742d2 100644
--- a/src/tracker.c
+++ b/src/tracker.c
@@ -63,25 +63,25 @@ connect_port(LV2_Handle instance,
switch (port) {
case TRACKER_GATE:
- plugin->gate = data;
+ plugin->gate = (float*)data;
break;
case TRACKER_HATTACK:
- plugin->hattack = data;
+ plugin->hattack = (float*)data;
break;
case TRACKER_HDECAY:
- plugin->hdecay = data;
+ plugin->hdecay = (float*)data;
break;
case TRACKER_LATTACK:
- plugin->lattack = data;
+ plugin->lattack = (float*)data;
break;
case TRACKER_LDECAY:
- plugin->ldecay = data;
+ plugin->ldecay = (float*)data;
break;
case TRACKER_INPUT:
- plugin->input = data;
+ plugin->input = (float*)data;
break;
case TRACKER_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/triangle.c b/src/triangle.c
index f42e84c..1189b06 100644
--- a/src/triangle.c
+++ b/src/triangle.c
@@ -49,13 +49,13 @@ connect_port(LV2_Handle instance,
switch (port) {
case TRIANGLE_FREQUENCY:
- plugin->frequency = data;
+ plugin->frequency = (float*)data;
break;
case TRIANGLE_SLOPE:
- plugin->slope = data;
+ plugin->slope = (float*)data;
break;
case TRIANGLE_OUTPUT:
- plugin->output = data;
+ plugin->output = (float*)data;
break;
}
}
diff --git a/src/wavedata.c b/src/wavedata.c
index c0ae602..24d4c36 100644
--- a/src/wavedata.c
+++ b/src/wavedata.c
@@ -41,7 +41,7 @@ wavedata_load(Wavedata* w,
const size_t path_len = bundle_len + lib_name_len + ext_len + 2;
int retval = -1;
- char* lib_path = malloc(path_len);
+ char* lib_path = (char*)malloc(path_len);
snprintf(lib_path, path_len, "%s%s%s",
bundle_path, lib_name, BLOP_SHLIB_EXT);
@@ -49,8 +49,8 @@ wavedata_load(Wavedata* w,
free(lib_path);
if (handle) {
- int (*desc_func)(Wavedata*, unsigned long);
- desc_func = dlsym(handle, wdat_descriptor_name);
+ typedef int (*DescFunc)(Wavedata*, unsigned long);
+ DescFunc desc_func = (DescFunc)dlsym(handle, wdat_descriptor_name);
if (desc_func) {
retval = desc_func(w, sample_rate);
w->data_handle = handle;
diff --git a/src/wavegen.c b/src/wavegen.c
index 3ba0452..53c5db1 100644
--- a/src/wavegen.c
+++ b/src/wavegen.c
@@ -293,7 +293,7 @@ main(int argc,
}
}
- wavedata_generate_tables(w, wavetype, gibbs);
+ wavedata_generate_tables(w, (Wavetype)wavetype, gibbs);
if (!test) {
if (wavedata_write(w, file, prefix)) {